> 技术服务
    > 服务平台
  您当前的位置:首页 > 技术服务 > IP交易中心   
分 类
开源IP/免费下载  |   推荐IP核  |   Physical Library  |   Analog & Mixed Signal  |   Arithmetic & Mathematic  |   Controllers  |   Peripheral Cores  |   Interfaces  |   Bus  |   Digital Signal Processing  |   Processors & Microcontrollers  |   Memory Element  |   Security / Error Corr. Det. / Modulation  |   Multimedia / Video / Image / Audio  |   Wireline Communications  |   Wireless Communications  |   Platform Level IP  |   Software IP  |   FPGA IP  |   Other  |   Verification IP  |  
FPGA IP
    Xilinx:1-D Discrete Cosine Transform2014-01-06 
    Xilinx:1024-Point Complex FFT IFFT for Virtex-II2014-01-06 
    Xilinx:1024-Point Complex FFT IFFT2014-01-06 
    Xilinx:16-Point Complex FFT / IFFT2014-01-06 
    Xilinx:16-Point Complex FFT IFFT for Virtex-II2014-01-06 
    Xilinx:2-D Discrete Cosine Transform (DCT)2014-01-06 
    Xilinx:256-Point Complex FFT / IFFT2014-01-06 
    Xilinx:256-Point Complex FFT IFFT for Virtex-II2014-01-06 
    Xilinx:32 Point Complex FFT/IFFT2014-01-06 
    Xilinx:64-Point Complex FFT IFFT2014-01-06 
    Xilinx:64-Point Complex FFT IFFT for Virtex-II2014-01-06 
    Xilinx:Fast Fourier Transform2014-01-06 
    Xilinx:Additive White Gaussian Noise2014-01-06 
    Xilinx:Bit Correlator2014-01-06 
    Xilinx:Cascaded Integrator Comb (CIC)2014-01-06 
    Xilinx:Convolutional Encoder2014-01-06 
    Xilinx:Digital Down Converter (DDC)2014-01-06 
    Xilinx:Digital Up Converter2014-01-06 
    Xilinx:Direct Digital Synthesizers (DDS)2014-01-06 
    Xilinx:Distributed Arithmetic FIR Filter2014-01-06 
首 页 上一页 下一页 尾页 页次:1/8页 共有144条信息